亚洲一区亚洲二区亚洲三区,国产成人高清在线,久久久精品成人免费看,999久久久免费精品国产牛牛,青草视频在线观看完整版,狠狠夜色午夜久久综合热91,日韩精品视频在线免费观看

GPS L2 CM碼產(chǎn)生和同步的FPGA實(shí)現(xiàn)方法

時(shí)間:2023-04-27 08:04:00 天文地理論文 我要投稿
  • 相關(guān)推薦

GPS L2 CM碼產(chǎn)生和同步的FPGA實(shí)現(xiàn)方法

隨著第一顆GPS IIR-M衛(wèi)星于2005年9月26日入軌運(yùn)行,GPS IIR-M衛(wèi)星為全球用戶提供了一個(gè)新的民用偽噪聲碼(L2-C碼),基于L2 CM信號(hào)的GPS接收機(jī)將成為現(xiàn)實(shí).對(duì)L2-C中cM碼的結(jié)構(gòu)和數(shù)學(xué)模型進(jìn)行分析,通過硬件描述語言verilog在FPGA上實(shí)現(xiàn)L2 CM碼的產(chǎn)生和同步,采用modelsim和quanus進(jìn)行仿真綜合,實(shí)驗(yàn)結(jié)果表明,這種方法能夠正確產(chǎn)生L2 CM碼,是一種適合于實(shí)時(shí)軟件接收機(jī)工程實(shí)現(xiàn)的L2 CM碼產(chǎn)生和同步的方法.

作 者: 葛勝 王慶 曾慶喜 李傳君 Ge Sheng Wang Qing Zeng Qingxi Li Chuangjun   作者單位: 東南大學(xué)儀器科學(xué)與工程學(xué)院,南京,210096  刊 名: 艦船電子工程  英文刊名: SHIP ELECTRONIC ENGINEERING  年,卷(期): 2009 29(2)  分類號(hào): P228.4  關(guān)鍵詞: L2CM碼   GPS   軟件接收機(jī)   FPGA  

【GPS L2 CM碼產(chǎn)生和同步的FPGA實(shí)現(xiàn)方法】相關(guān)文章:

電腦和移動(dòng)硬盤同步方法11-08

利用FPGA實(shí)現(xiàn)MMC2107與SDRAM接口設(shè)計(jì)論文12-02

《狐貍和烏鴉》同步練習(xí)03-07

小數(shù)的產(chǎn)生和意義教學(xué)反思04-05

GPS維護(hù)-03-15

特色英語詞匯-L205-04

物理教案《聲音的產(chǎn)生和傳播》08-26

血液的組成成分和產(chǎn)生過程05-17

《分?jǐn)?shù)的產(chǎn)生和意義》教學(xué)反思(通用12篇)04-07

讀書的方法和措施10-09