亚洲一区亚洲二区亚洲三区,国产成人高清在线,久久久精品成人免费看,999久久久免费精品国产牛牛,青草视频在线观看完整版,狠狠夜色午夜久久综合热91,日韩精品视频在线免费观看

數(shù)字電路課程設(shè)計(jì)報(bào)告

時(shí)間:2022-07-20 17:22:44 報(bào)告 我要投稿

數(shù)字電路課程設(shè)計(jì)報(bào)告模板

  在經(jīng)濟(jì)發(fā)展迅速的今天,報(bào)告不再是罕見的東西,報(bào)告中涉及到專業(yè)性術(shù)語要解釋清楚。寫起報(bào)告來就毫無頭緒?下面是小編為大家整理的數(shù)字電路課程設(shè)計(jì)報(bào)告模板,歡迎大家借鑒與參考,希望對大家有所幫助。

數(shù)字電路課程設(shè)計(jì)報(bào)告模板

  一、設(shè)計(jì)目的

  溫度是日常生活中無時(shí)不在的物理量,溫度的控制在各個(gè)領(lǐng)域有著廣泛積極的意義。如溫室的溫度控制等。另外隨著數(shù)字電子技術(shù)的迅速發(fā)展,將模擬電量轉(zhuǎn)換成數(shù)字量輸出的接口電路A/D轉(zhuǎn)換器是現(xiàn)實(shí)世界中模擬信號向數(shù)字信號的橋梁。在以往的A/D器件采樣控制設(shè)計(jì)中,多數(shù)是以單片機(jī)或CPU為控制核心,雖然編程簡單,控制靈活,但缺點(diǎn)是控制周期長,速度慢。單片機(jī)的速度極大的限制了A/D高速性能的利用,而FPGA的時(shí)鐘頻率可高達(dá)100MHz以上。本設(shè)計(jì)進(jìn)行時(shí)序控制、碼制變換,具有開發(fā)周期短,靈活性強(qiáng),通用能力好,易于開發(fā)、擴(kuò)展等優(yōu)點(diǎn)。

  二、設(shè)計(jì)的基本內(nèi)容

  本次設(shè)計(jì)主要是基于FPGA+VHDL的溫度控制系統(tǒng),可編程器件FPGA和硬件描述語言VHDL的使用使得數(shù)字電路的設(shè)計(jì)周期縮短、難度減少。設(shè)計(jì)采用模塊化思路,包括四個(gè)模塊FPGA控制ADC0809模塊、分頻模塊、數(shù)據(jù)傳輸模塊、元件例化模塊,再加以整合實(shí)現(xiàn)整個(gè)系統(tǒng),達(dá)到溫度控制的目的。

  基于FPGA的信號采集系統(tǒng)主要有:A/D轉(zhuǎn)換器,F(xiàn)PGA,RS232通信。A/D 轉(zhuǎn)換器對信號進(jìn)行會(huì)采集,A/D 內(nèi)部集成了采樣、保持電路,可有效的'降低誤差,減少外圍電路的設(shè)計(jì),降低系統(tǒng)的功耗。A/D在接受到指令后進(jìn)行采集,F(xiàn)PGA采集控制模塊首先將采集到的通過A/D 轉(zhuǎn)換城的數(shù)字信號引入FPGA,而后對數(shù)字信號送往算法實(shí)現(xiàn)單元進(jìn)行處理,并存于FPGA內(nèi)部RAM中。

  1. 實(shí)驗(yàn)設(shè)計(jì)指標(biāo)及要求:

  1.1課題說明:

  在體育比賽、時(shí)間準(zhǔn)確測量等場合通常要求計(jì)時(shí)精度到1%秒(即10 ms)甚至更高的計(jì)時(shí)裝置,數(shù)字秒表是一種精確的計(jì)時(shí)儀表,可以擔(dān)當(dāng)此任。本課題的設(shè)計(jì)任務(wù)設(shè)計(jì)一個(gè)以數(shù)字方式顯示的計(jì)時(shí)器,即數(shù)字秒表。

  1.2設(shè)計(jì)內(nèi)容:

  a) 數(shù)字秒表需求分析,信號及屬性定義;

  b) 電路原理設(shè)計(jì)、分析、參數(shù)計(jì)算,畫出電路原理;

  c) 電路安裝與實(shí)驗(yàn)測試。

  1.3設(shè)計(jì)要求:

  d) 量程99.99 S,計(jì)時(shí)精度1%秒,計(jì)時(shí)結(jié)果動(dòng)態(tài)顯示,十進(jìn)制格式;

  e) 設(shè)置啟動(dòng)、清除信號,清除信號使輸出結(jié)果,使電路復(fù)位到初始狀態(tài);

  f) 設(shè)置暫停、停止信號,暫停、停止時(shí)均保持當(dāng)前結(jié)果,直到清除信號有效時(shí)止;

  1.4總體設(shè)計(jì)思路:

  數(shù)字秒表由4個(gè)部分組成:精確的時(shí)鐘源、十進(jìn)制計(jì)數(shù)器、譯碼器、七段碼或液晶顯示電路。

  時(shí)鐘源產(chǎn)生符合精度要求的基準(zhǔn)時(shí)鐘,本設(shè)計(jì)中取10毫秒即可。十進(jìn)制計(jì)數(shù)器需要4個(gè),分別對應(yīng)4個(gè)十進(jìn)制位,輸出為BCD碼。若采用七段碼顯示器則譯碼器完成BCD到七段碼的譯碼,由4位顯示電路動(dòng)態(tài)顯示結(jié)果。

  2.單元電路設(shè)計(jì):

  分頻、進(jìn)位功能的實(shí)現(xiàn):

  數(shù)字秒表由四部分組成:精確的時(shí)鐘源,十進(jìn)制計(jì)數(shù)器,譯碼器,七段碼顯示電路。 本實(shí)驗(yàn)設(shè)計(jì)時(shí)鐘脈沖源采用電路板上的1000HZ脈沖,74ls90芯片具有2-5-10進(jìn)制功能,

  由5片74ls90芯片構(gòu)成分頻、計(jì)數(shù)電路,第一片74ls90芯片將直接輸入的1000HZ脈沖源分成100HZ,后四片74ls90芯片再逐次進(jìn)行10H、1HZ、0.1HZ的分頻工作,與此同時(shí)后4片74ls90芯片組成十進(jìn)制計(jì)數(shù)器與四個(gè)終端顯示由七段譯碼顯示器連接以顯示電路輸出結(jié)果。

【數(shù)字電路課程設(shè)計(jì)報(bào)告】相關(guān)文章:

課程設(shè)計(jì)報(bào)告07-20

流水燈課程設(shè)計(jì)報(bào)告07-20

軟件課程設(shè)計(jì)實(shí)驗(yàn)報(bào)告07-20

搶答器課程設(shè)計(jì)報(bào)告07-20

課程設(shè)計(jì)總結(jié)11-02

課程設(shè)計(jì)總結(jié)范文11-29

課程設(shè)計(jì)范文 -范文01-01

課程設(shè)計(jì)方案11-12

課程設(shè)計(jì)總結(jié)5篇精選11-02